video corpo

Temperature monitoring system Process Probe™ 1730
for process control

temperature monitoring system
temperature monitoring system
Add to favorites
Compare this product
 

Characteristics

Type
temperature
Applications
for process control

Description

In Situ Wafer Temperature Monitoring System The Process Probe™ 1730 instrumented wafers enable precise in situ characterization of wafer temperature profiles in photoresist track systems, temperature controlled wafer chuck systems, oven applications, and resist bake, polyimide, and SOG applications. The Process Probe 1730 helps engineers characterize and fine tune process conditions to improve process equipment performance for higher yield. Applications Process development, Process qualification, Process tool qualification, Process tool matching Lithography track systems, Temperature controlled wafer chuck systems and ovens | -150-300°C

Catalogs

No catalogs are available for this product.

See all of KLA - TENCOR‘s catalogs
*Prices are pre-tax. They exclude delivery charges and customs duties and do not include additional charges for installation or activation options. Prices are indicative only and may vary by country, with changes to the cost of raw materials and exchange rates.