Semiconductor metrology system Aspect®

semiconductor metrology system
semiconductor metrology system
Add to favorites
Compare this product
 

Characteristics

Type
for semiconductors

Description

The new Aspect metrology system is a revolutionary optical platform that is designed for the current and future challenges of advanced 3D NAND devices. Product Overview Memory density increases with both layer-pair scaling and tier stacking for memory stacks well over 200 pairs. The Aspect metrology system was designed with these future architectures and scaling strategies in mind. Aspect metrology is demonstrating performance superior to X-ray systems across multiple customer devices through a revolutionary infrared optical system providing full profiling capability to enable critical etch and deposition control, with the speed and process coverage that customers require. The Aspect system is powered by a powerful software analysis engine, AI-Diffract™ Technology, that provides up to 90% faster time to solution which extends the industry leading NanoDiffract® software by leveraging extensive machine learning capabilities along with high fidelity modeling. The result is a simultaneous improvement in metrology performance along with a significant time to solution reduction. Applications • Etch, cleans, and deposition for Gen7 3D NAND and beyond • Etch and implant for CIS • Etch and implant for DRAM • On-device materials characterization for advanced logic
*Prices are pre-tax. They exclude delivery charges and customs duties and do not include additional charges for installation or activation options. Prices are indicative only and may vary by country, with changes to the cost of raw materials and exchange rates.